[RISOLTO]Icarus e Verilog

Configurazione e uso di IDE, editor, compilatori, in fase di programmazione.
Scrivi risposta
Avatar utente
ubuntumate
Entusiasta Emergente
Entusiasta Emergente
Messaggi: 1180
Iscrizione: giovedì 28 maggio 2015, 18:18
Distribuzione: Windows 7
Sesso: Maschile
Località: Milano

[RISOLTO]Icarus e Verilog

Messaggio da ubuntumate »

Sto iniziando a giocare un po' con Verilog e ho trovato Icarus come compilatore, e simulatore, per Linux. Dopo averci perso un'ora non ho ancora capito che servirebbe l'eseguibile generato con

Codice: Seleziona tutto

iverilog prova.v -o prova
Ancor più oscuro mi è il fatto che

Codice: Seleziona tutto

vvp prova
non produca alcun risultato.

Probabilmente non ho capito come si usa, però in rete ho letto che secondo alcuni, i problemi esposti sopra sono dovuti al fatto che non ho usato una direttiva "$dumpvar" e per altri sono dovuti al compilatore che accetta codice scadente. Sul libro di testo non viene riportata nessuna direttiva con $, perciò è facile che abbia scritto una schifezza e che Icarus sia un po' il gcc per Verilog. Quel che stavo tentando di simulare è questo:

Codice: Seleziona tutto

module half_adder(A,B,CR,SUM);
    input A, B;
    output CR, SUM;
    xor
        s(SUM, A, B);
    and
        c(CR, A, B);
endmodule
Oltre a probabili correzioni sul codice, avete consigli di compilatori, simulatori, IDE etc per Verilog (e magari VHDL)?

EDIT: banalmente ho capito, leggendo i primi due capitoli di un manuale, che sono io che non conosco Verilog.
Software engineers shall participate in lifelong learning regarding the practice of their profession and shall promote an ethical approach to the practice of the profession.
ACM/IEEE Code of ethics.
Scrivi risposta

Ritorna a “Strumenti per la programmazione”

Chi c’è in linea

Visualizzano questa sezione: 0 utenti iscritti e 8 ospiti